Sujet Le pub des programmeurs
- 1 925 réponses
- 117 participants
- 123 068 vues
- 130 followers
Anonyme
521410
Sujet de la discussion Posté le 25/08/2005 à 17:21:03Le pub des programmeurs
Salut y a des programeurs sur AF si oui vous bossez sous quoi ?
miles1981
8345
Je poste, donc je suis
Membre depuis 20 ans
1281 Posté le 07/10/2012 à 12:33:37
Des interfaces graphiques en C...
Audio Toolkit: http://www.audio-tk.com/
guitoo
759
Posteur·euse AFfolé·e
Membre depuis 21 ans
1282 Posté le 07/10/2012 à 14:18:27
bah quoi? C'est très bien gtk.
Anonyme
1283 Posté le 07/10/2012 à 19:19:48
Hello guys !
J'ai besoin de vos connaissances en Python 2.6 (je précise, on sait jamais) :
Je voudrais que quand l'utilisateur appuie sur entrée, le programme se ferme, par exemple après l'affichage d'un résultat. Y'a une fonction pour faire ça ?
Merci d'avance !
J'ai besoin de vos connaissances en Python 2.6 (je précise, on sait jamais) :
Je voudrais que quand l'utilisateur appuie sur entrée, le programme se ferme, par exemple après l'affichage d'un résultat. Y'a une fonction pour faire ça ?
Merci d'avance !
LéoMoldo
1191
AFicionado·a
Membre depuis 20 ans
1284 Posté le 08/10/2012 à 08:28:30
Ca devient StackAudiOverflowFanzine ici!
Anonyme
30851
1285 Posté le 15/11/2012 à 17:40:53
Bonjour, j'ai une petite question, je dois réaliser un registre à décalage en VHDL dont je puisse choisir le sens, avec un reset prioritaire, un bus d'entrée et un bus de sortie, une horloge, et une entrée de validation.
J'ai fait ça :
Ca peux marcher ?
Merci
J'ai fait ça :
--Déclaration des libraires
library ieee;
use ieee.std.logic_1164.all;
use work.std_arith.all;
--On déclare les entrées/sorties du composant
entity register is port(
clk,reset,decalage,load,sens: in std_logic; --les entrées "simples"
E: in std_logic_vector(7 downto 0); --Le bus d'entrée
S: out std_logic_vector(7 downto 0)); --Le bus de sortie
end register;
--On décris les fonctions du composant
architecture archregistre of register is
begin
reg: process (clk)
begin
if(reset'event and reset = '1') then --Reset prioritaire sur tout
S <= '0';
end if;
else if (clk'event and clk='1' and load'event and load = '1')
S <= E;
end if;
else if(clk'event and clk='1' and load'event and load = '0' and decalage = '1')
if(sens = '0') --Si sens est à 0 on décale de gauche à droite
S(0) <= es;
for i in 0 to 6 loop
S(i+1) <=S(i);
end loop;
end if;
else --Sinon de droite à gauche
S(7) <= es;
for i in 6 to 0 loop
S(i-1) <=S(i);
end loop;
end if;
end process reg;
end architecture;
Ca peux marcher ?
Merci
[ Dernière édition du message le 15/11/2012 à 17:41:30 ]
Djardin
19834
Drogué·e à l’AFéine
Membre depuis 19 ans
1286 Posté le 15/11/2012 à 17:49:34
j'en sais rien. t'as testé ?
de mémoire, c'est pas aussi "graphique" le VHDL ? genre tu fais des composants, et tu tire des portes logiques, sans rien coder ?
sinon à vue de nez, comme ça, ça semble marcher.
Référence en matière de bon gout capillaire et vestimentaire.
homme à tête de zizi.
Anonyme
30851
1287 Posté le 15/11/2012 à 18:07:36
Merci !
Non j'ai pas testé (j'ai pas le logiciel sous la main la). Je pourrais tester ça demain.
Non j'ai pas testé (j'ai pas le logiciel sous la main la). Je pourrais tester ça demain.
[ Dernière édition du message le 15/11/2012 à 18:07:56 ]
doom_Oo7
788
Posteur·euse AFfolé·e
Membre depuis 18 ans
1288 Posté le 15/11/2012 à 18:10:46
Citation de JepMetalway :
Hello guys !
J'ai besoin de vos connaissances en Python 2.6 (je précise, on sait jamais) :
Je voudrais que quand l'utilisateur appuie sur entrée, le programme se ferme, par exemple après l'affichage d'un résultat. Y'a une fonction pour faire ça ?
Merci d'avance !
alors si jamais tu as besoin d'autres interactions tu devrais jeter un coup d'oeil à pygame, sinon fais un petit thread qui check si quelque chose rentre dans l'entrée standard et qui fait un sys.exit() si c'est le cas.
Question tout à fait à part :
Y'a un bon site pour apprendre à programmer des VST (sous windows, en c++ (sauf si pyVST marche bien!)) ? En français si possible. Parce que je trouve la doc steinberg assez hermétique... Merci !
Phonema (Prog metal sci-fi) !
miles1981
8345
Je poste, donc je suis
Membre depuis 20 ans
1289 Posté le 15/11/2012 à 19:07:18
pyVST, c'est pour utiliser les VST depuis Python, tu les programmes toujours encore en C++. D'ailleurs faut que je le passe en 64bits un jour + vérifier pourquoi certaines UIs ne fonctionnent pas avec.
Audio Toolkit: http://www.audio-tk.com/
doom_Oo7
788
Posteur·euse AFfolé·e
Membre depuis 18 ans
1290 Posté le 15/11/2012 à 21:19:15
http://matt.eifelle.com/2010/03/09/annoucement-pyvst-0-1/
pour moi c'est un wrapper zutour du sdk vst!
pour moi c'est un wrapper zutour du sdk vst!
Phonema (Prog metal sci-fi) !
- < Liste des sujets
- Charte