Se connecter
Se connecter

ou
Créer un compte

ou

Sujet Waldorf en faillite

  • 159 réponses
  • 29 participants
  • 6 783 vues
  • 2 followers
Sujet de la discussion Waldorf en faillite
Je n'ai pas vu la news passer sur AF, alors désolé pour ceux qui sont déjà au courant:
donc, après Cre@mware, c'est au tour de Waldorf de déposer le bilan (banqueroute).

Tout est là, en teuton dans le texte.

Vu que le site Waldorf est down, les abonnés à l'ancien forum sont invités s'ils le souhaitent à rejoindre un nouveau forum non-officiel:
http://waldorf.synth.net

:mrg:

« What is full of redundancy or formula is predictably boring. What is free of all structure or discipline is randomly boring. In between lies art. » (Wendy Carlos)

Afficher le sujet de la discussion
121
Tiens Gabou, j'aurai une question a te poser sur un truc... je peux t'envoyer un mail ?
122
Tu peux toujours envoyer, ouais !
123

Citation : amusant ces joutes verbales. de là à penser qu'en dess ya la même proportion d'abruti qu'en deug ou en cap... :mdr:

124
Foto de famille :

 ----------

SoundCloud - Youtube - Facebook

125
Il en manque :roll:
126
C pô possible de mettre des vestes aussi pourris.
127

Citation : Il en manque


Problème financier -> Sous traitance et réduction de personnel ? :8O:
128
Bounjour,
je voulais juste revenir sur le debat hard ou soft.
je pense que l'ergonomie est un element primordial de tout synthé,car elle conditionne en grande partie l'utilisation de la machine(hard).c'est comme les guitares: quand tu as une guitare accoustique pan coupé,tu peu atteindre plus facilement les aigues donc tu seras (plus)tenté de jouer dans les aigues,alors qu'avec une accoustique normale,ton jeu se concentrera sur les 15 premieres case du manche.cela ne fait pas de la gratte pan coupé une gratte meilleurs que les grattes normales,au contraire sa donne un caractere bien particulier et defini a chacune d'elles.pour les synthé c'est pareil,le fait que tel ou tel fonction soit plus accessible sur tel ou tel synthé donne un caractere propre au synthé par le conditionnement meme de son utilisation.c'est a mon avis une difference de taille avec les softs ou tout est accessible,c'est bien me diront certain parce que commme sa on utilise le soft a fond,mais on fini donc par utiliser tous les soft de la meme facon.
apres question son,c'est le meme probleme.quand tu achete un synthe hard,il a tel convertiseur,tel type de prise jack etc...si tu rachete le meme synthé dans cinq ans,il aura toujours le meme convertiseur etc,et c'est ce qui donne aussi un caractere a un synthe hard.si tu achete un synthe soft,ton son sera forcement different(a plus ou moin grande echelle) a chaque fois que tu changera de carte son.certain me dirons que c'est tant mieux parce que tu peux gagner en qualité,mais le probleme n'est a nouveau pas la .ok les synthé numerique c'est que des algorythmes ,mais c'est dernier son restitués par des convertisseurs specifique pour chaque synthés,et c'esdt aussi sa qui fait le son d'un synthé.alors tant que les fabriquants de soft ne fournirons pas "la" carte son qui va avec leur synthe soft,ces dernier n'auront jamais ce caractere bien defini qu'on les synthés hard,et pour moi ce qui differencie un instrumlent d'un autre c'est son caractere a lui.
petit exemple pour finir:j'ai acheté il y a quatre ans un nordlead.il y a trois mois j'en ai acheté un deuxieme d'occaz:les deux ont exactement le meme son.
ily adeux ans j'utilisais fruityloop3 sur une maxi studio isis,aujourd'hui je l'utilise sur une audiophile 24/96,et sa ne sonne pas du tout pareil.
Some people have got no pride,they do not understand the urgency of life....
129
Tiens, moi aussi, je vais continuer...
Si tout le monde utilise le même hard, c'est peut-être parce que ça coûte super cher à développer ? On a facilement à disposition des cartes d'éval, des compilos, des émulateurs, ...
Ce qui pourrait être plus drôle, avec l'avènement des derniers DSPs et des FPGAs, c'est de voir lequel tournerait le mieux... Avec un DSP capable de mettre le plus gros Pentium dans le vent, un synthé hard serait largement meilleur - je pense justement à Analog Devices avec leur Shark et plus dernièrement le Blackfin -, mais quand on utilise en parallèle 3 DSPs, on peut se dire que le FPGA, c'est pas mal aussi... Evolutivité, SIMD massif, ...

Si ton synthé hard a le même son 5 ans plus tard, c'est que le soft interne n'a pas changé... Or, ce qui est intéressant effectivement, c'est cette capacité à changer. Facilement dispo sur PC ou Mac - ben oui, le compilo existe, pas cher, ... -, sur hard dédié, c'est autre chose... Recréer un complio C pour une machine massivement SIMD et pipelinée, voire RISC pour compliquer les choses, c'est presque suicidaire...
En général, on conçoit les algos sur PC, on les teste avec notre Matlab favori, puis on regarde les éléments critiques qui ont besoin de vitesse, qui seront ensuite implémentés en hard directement, le reste sera traité avec un "processeur standard" créé à côté des unités de traitement spécialisées - PLL, MAC, ... -. Et développer ça, ça prend largement plus de temps sur hard dédié que sur PC... Le prix du soft n'est pas le même, et c'est ce que l'un de vous avait souligné tandis que les autres, je ne sais pas, mais bon...

Ah oui, l'ergonomie, c'est vraiment pas ce qu'il y a de plus lourd à faire...
130
Mmm... là je crois qu'on a besoin de Gabou.